Intel emphasized that customers will begin receiving samples of Panther Lake processors this year

In somewhat of a reputationally challenging environment, Intel CEO Patrick Gelsinger attended a Deutsche Bank technology conference this week. He took this opportunity to talk about the company’s progress in mastering the Intel 18A process technology. Intel itself has had working Panther Lake samples since last quarter, but it promises to supply customers with them by the end of the year.

Image Source: Intel

As you know, the company always expected to begin mass production of Panther Lake and Clearwater Forest processors for the mobile and server segments, respectively, next year, but this did not prevent them from acquiring workable engineering samples back in the last half of the year. At the Deutsche Bank conference, the head of Intel said the following, speaking about the degree of readiness of Intel 18A: “Now this is a healthy process technology, which we are looking forward to, and we will begin producing productive plates with Panther Lake before the end of the year.” Then Gelsinger separately emphasized that Clearwater Forest server processors in the form of samples will be shipped to customers before the end of this year. He then reiterated that the company would begin shipping Panther Lake samples to customers later this year.

The transition to Intel 18A will have another symbolic meaning for the company, because it will be able to begin transferring the production of components for its client processors from the TSMC assembly line back to its own, whereas now it is forced to order the production of crystals using 3-nm technology from TSMC. Secondly, by mastering small-scale production of Panther Lake by the end of 2024, the company will demonstrate its technological capabilities to potential customers. According to Gelsinger, its potential clients will be able to begin receiving products comparable in characteristics from other contractors only by the end of 2025 or even 2027. In this sense, confidently mastering the production of its own processors using Intel 18A technology will provide the company with good advertising in the contract segment.

Gelsinger’s last comment during the conference regarding Panther Lake processors made it clear that the company will begin mass production of products using Intel 18A technology at the very end of 2025, and from the point of view of production profitability, it will begin to affect the business only in 2026. The current and next years, according to the head of the company, will lay only a kind of foundation for the future expansion of the Intel 18A process technology.

admin

Share
Published by
admin

Recent Posts

An insider has revealed the main source of inspiration for the multiplayer Assassin’s Creed Invictus – Fall Guys

Image Source: Mediatonic Among the available formats are team deathmatch, every man for himself, and…

1 hour ago

Seasonic has released a PRIME PX-2200 power supply with a power of 2200 W for $500

Seasonic has released the PRIME PX-2200 2200 W power supply. The new product was first…

1 hour ago

Mercedes-Benz accelerated its third-level autopilot to 95 km/h

The ability of modern automation to control vehicles without human intervention is limited by a…

1 hour ago

GPUs limit programming freedom, so more chips will appear in the field of AI – Lisa Su

GPUs, originally created for creating three-dimensional images, have performed well in the field of accelerating…

2 hours ago

Samsung Display will build an OLED display plant in Vietnam

South Korean electronics maker Samsung Display plans to invest $1.8 billion this year to build…

2 hours ago